Archive

Archive for the ‘verification’ Category

Round-up 2009: Best of EDA, embedded systems and software, design trends

Friends, the next installment in this series on the round-up of 2009 lists my top posts across three specific fields that are very important within the semiconductor industry — electronic design automation (EDA), embedded systems and software, and some design trends. Here you go!

EDA

Synopsys on Discovery 2009, VCS2009 and CustomSIM

State of global semicon industry: Hanns Windele, Mentor

New routing tool likely to cover upcoming MCMM challenges: Hanns Windele, Mentor

Cadence’s focus — systems, low power, enterprise verification, mixed signal and advanced nodes

Zebu-Server — Enterprise-type emulator from EVE

State of the global EDA industry: Dr. Pradip Dutta, Synopsys

Mentor’s Wally Rhines on global EDA industry and challenges

Mentor’s Wally Rhines on EDA industry — II

Cadence’s Lip-Bu Tan on global semicon, EDA and Indian semicon industry

Indian EDA thought leaders can exploit opportunities from tech disruption!

EMBEDDED SYSTEMS & SOFTWARE

Top 10 embedded companies in India — By the way, this happens to be the most read article of the year!

NI LabView solves embedded and multicore problems!

Intel’s retail POS kiosk provides unique shopping experience

ISA Vision Summit 2009: Growing influence of embedded software on hardware world

MCUs are now shaping the embedded world!

Embedded electronics: Trends and opportunities in India!

Growth drivers for embedded electronics in India

DESIGN TRENDS

Microcontrollers unplugged! How to choose an MCU

Xilinx rolls out ISE Design Suite 11 for targeted design platforms!

TI’s 14-bit ADC unites speed and efficiency

ST/Freescale intro 32-bit MCUs for safety critical applications

Again, I am certain to have missed out some posts that you may have liked. If yes, please do point out. Also, it is not possible for me to select the top 10 articles for the year. If anyone of you can, I’d be very delighted.

My best wishes to you, your families and loved ones for a happy and prosperous 2010.

P.S.: The next two round-ups will be on solar photovoltaics and semiconductors. These will be added tomorrow, before I disappear for the year! 😉

Synopsys on Discovery 2009, VCS2009 and CustomSIM

If you’ve been following the EDA industry closely, you’d be well aware of three major announcements by Synopsys over the last couple of days. These are:

* Synopsys introduced the Discovery 2009 verification platform, delivering faster, unified verification solutions.
* It unveiled the VCS multicore technology, delivering 2x verification speed-up.
* It introduced the CustomSim Unified Circuit Simulation solution, which addresses custom digital, analog and memory verification challenges.

I met up with Dr. Pradip K. Dutta, Corporate Vice President & Managing Director, Synopsys (India) Pvt Ltd and Manoj Gandhi, vice president and general manager, verification group @ Synopsys, in an attempt to understand how significant these announcements are for verification.

Verification is huge!
According to Manoj Gandhi, at the macro level, design complexities continue to grow. As this grows, one big challenge is verification. The reason is: today’s SoC designs and large IC designs, they are being approached like large software projects.

He said: “Verification becomes huge, like software. It is expensive in hardware design. We focus on the verification challenges. We introduced the System Verilog about four to five years ago, and we had also acquired ArchPro. Yesterday, we announced the Discovery 2009, CustomSim and VCS2009.”

How can users make use of new CPUs coming out? “We aim to get higher much performance using multicore architecture,” he added.

Introducing VCS2009
The VCS2009 is multicore enabled, runs the industry’s first low-power verification methodology, and enables fastest mixed-signal simulation with the CustomSIM. Focusing on the VCS2009, Gandhi said: “In verification, there’s a design under test and verification. A lot of designs now have multicores. AMD is among the many folks using the VCS2009. Almost every CPU is designed using VCS. It plays a big role in large SoCs.”

Design companies have several activities such as test bench, debug, etc. All of these can now be parallelized. “Customer designs can be simulated on multiple threads,” Gandhi said. “Also, the applications can also be simulated on different threads, called application level parallelism. We can actually bring about 5-7X improvement in verification with the VCS2009.”

According to him, this product is already being used by some large customers. “This is our next phase of performance innovation. The processor roadmap is getting more and more multicore. We have over 200 customers,” he added.

The VCS distributes time consuming activities across multiple cores. Gandhi added that each core has a lot of computations. You may do lot of parallel activities with the mobile phones. All activities are now in parallel.

And how about the speed-up from parallel computation with the industry-leading Native Testbench (NTB)? He said: “We were one of the first to introduce all technologies as part of a single compiler. That brought the 5X speed-up. We did all of this in verification, and a test bench core was brought into verification.”

The combination of DLP and ALP optimizes VCS performance over multicore CPUs. Design level parallelism (DLP) and application level parallelism (ALP) — all CPUs can be threaded on different cores.

Low-power verification methodology published
Synopsys has published a book on industry’s first low-power verification methodology, along with ARM and Renasas. It is an attempt to bring technology to the mainstream — how to do low-power verification. There are other 30 companies who participated in this exercise.

On the CPF vs. UPF debate, he said that UPF is a standard where Magma, Mentor, Synopsys, etc. have participated. Cadence has CPF. Users can make use of this book and apply, on top of both UPF and CPF.

Introducing Discovery 2009
According to Synopsys, this solution is doing very well in the market. The company has seen strong technology leadership over the last two to three years. It has also created strong investments.

CustomSIM is a unified circuit simulation solution. “We have a software to silicon verification focus. We are all the way from system level design to RTL, to software verification, etc. Discovery has some technologies as part of that, noted Gandhi.

What has Synopsys done right?
A most interesting point in the EDA industry, I feel, has been the performance of Synopsys, in an otherwise difficult segment over the past year. So, what are the reasons behind this success?

Gandhi added: “Our management are all strong technologists. We have invested tremendously in bringing in strong technology leaders. In India, many companies needed R&D collaborations locally. For us, it was a big win when we invested in Bangalore. We work closely with customers delivering technologies that will address challenges two-three years from now.

Dr. Pradip Dutta elaborated: “Synopsys is very strong in product leadership (PL). The other two key areas are customer intimacy (CI) and operational excellence (OE). You need to be highest in PL. We have been very conservative even during strong times.”

That is indeed a marvellous thought! Those who are typically strong in technology, generally go on to develop great intimacy with customers, and all of this starts reflecting on their operations, which are anyway excellent! Here’s a message for those who wish to do well in tough times — strong product leadership, coupled with customer intimacy and well, corresponding operational excellence!

Focus on verification
Now that the focus is quite clearly on verification, how do EVE and the other verification companies stand out? EVE is currently in the emulation space. Gandhi added that EVE competes more wtih Cadence and Mentor. “We work with EVE on many accounts. Verification is all about finding bugs. Emulation has been more cyclical.”

According to him, Synopsys is now looking at tackling the next level — how do you reduce the overall cost? “We will go beyond selling tools. We would look at how to identify issues and saving verification costs.” I believe, verification takes up close to 70 percent of an overall design test.

Commenting on the EDA industry in India, both, Dr. Dutta and Gandhi feel it is still buzzing quite well, despite what’s been happening in the global context. “We have invested quite a lot. We have a large team here. We continue to collaborate with local institutions here as well,” Dr. Dutta added.

Despite EDA challenges, Mentor keeps faith on India

Great! All of these EDA firms, despite their current financial woes, remain strong and bullish on India! Mentor Graphics is no exception in this case!

It is well documented that the global EDA industry, along with the global semiconductor industry, has not had a smooth ride this year. However, this situation has only made both the industries work harder toward restoring some recovery.

Thanks to Veeresh Shetty, a dear friend, and Marcom Manager-Pacrim South, Mentor Graphics, I had the pleasure of meeting up with Joseph Sawicki, vice president & GM, Design to Silicon Division, Mentor Graphics Corp., during the recently held EDA Tech Forum in Bangalore.

We discussed a range of issues, such as the state of the EDA industry, Mentor’s focus on India, and low-power design challenges. I did not discuss the proposed acquisition of Mentor by Cadence, as I feel it is no point in going over what was never on the cards, at least, for now.

According to Sawicki, Mentor Graphics is very optimistic about semiconductors and electronics, especially in India. “The EDA industry is currently having a pretty challenging environment. The recession in 2002 was the deepest in its history,” he says.

“We (the EDA industry) haven’t had the growth rates we would like. However, we have done better. We have re-invented Mentor,” adds Sawicki. “We have now invested more in back-and-route ICs, which is about 40 percent of our revenue. Our product portfolio is the youngest within the company.” He adds that the recession has been more in semiconductors. However, take out memory, and the scenario changes.

The drive of the semiconductor industry toward smaller and smaller features sizes requires more sophisticated correction methods to guarantee the final tolerances for the etched features in both wafer manufacturing and mask making.

Flat growth likely for EDA
Commenting on EDA industry’s growth, Sawicki, adds that growth will be flat in 2008. Interestingly, the growth rate for EDA was 10 percent during the last two years. He notes: “EDA always does best when it delivers new technology. There are two reasons. One, on the manufacturing side of things. The extra results will be completely delivered by the software.”

The other reason is that the aspects of manufacturing power and manageability will assume great importance. “Finally, the ESL space also provides the potential for growth. It also brings out a whole new design capability,” he notes.

Given the global semiconductor scenario, Mentor is also looking at other markets outside semiconductors, especially automotive. Sawicki adds that this quarter, 20 percent of Mentor’s business has been from the automotive segment.

There have been several global initiatives aimed at consolidation in the recent past. Sawicki says: “Consolidation should not be looked at as a goal. We also do acquisitions in the technology space. It augments a strong position.”

So what are some of the other challenges facing the industry? Sawicki lists those as the economics of the industry itself, especially the design and verification costs.

On low power design, Sawicki agrees that there has been a transition of electronics from the US to Asia. “You have got to handle far lower power downward. We can reduce leakage current by 20-30 percent. Looking forward, how do you tie in ESL with physical design? When doing ESL, you can do architectural exploration. I will have my ESL to drive the place-and-route tool. I can get fast execution as well as low leakage power.”

He advises that India has the ability to go beyond the innovation that has been happening.

Mentor in India
Mentor Graphics has three sites in India. It has R&D centers in Hyderabad and Noida, near New Delhi, and a sales and support office in Bangalore. The Hyderabad R&D center handles system design, while the Noida R&D center takes care of the front-end side, such as functional verification products.

Raghu Panicker, sales director, India, Mentor Graphics, says that the company has been very bullish on India. “We do not see any lull anywhere. Lot of design starts are happening here, in India,” he adds.

I will continue my conversation with Joseph Sawicki in the next blog!

Cadence C-to-Silicon Compiler eliminates barriers to HLS adoption

Cadence Design Systems Inc. recently announced its C-to-Silicon Compiler, said to be the next-generation of HLS (high-level synthesis) technology.

The C-to-Silicon Compiler is said to eliminate historical barriers to HLS adoption to deliver the quality of results and net productivity gains engineers need. It also produces RTL (register transfer level) with quality at or above the 90th percentile of manual RTL design, while increasing the engineering productivity up to 10X. HLS incidentally, reduces the manual effort required to produce RTL, thereby enabling designers to avoid syntax errors common in traditional methodologies.

I was very fortunate enough to be able to speak directly with Steve Svoboda, marketing director for system level design products, Cadence, in the US, last evening, on the C-to-Silicon Compiler.

According to Svoboda, this tool can accurately predict timing estimates. Logic synthesis ability is embedded into the tool. Cadence logic sysnthesis has been embedded inside HLS. HLS transforms C and C++ into RTL.

What can this product actually do for the EDA industry? He says it can actually take EDA up to a new level in terms of delivering additional productivity to designers.

“When design compiler and logic synthesis came, it was during the golden era of the semiconductor industry. Productivity was increasing rapidly. But the problem is, since the early 1990s, there has been no real change in the RTL design methodology. The only productivity increase has come out in form of design re-use,” he says.

“This (C-to-Silicon Compiler) could re-energize semiconductor and EDA industries by at least 10X times. About 20 years ago, there was 10X productivity increase. By having HLS, we can now close the gap and tackle the chips more effectively now.”

So, first up, will C-to-Silicon Compiler compete with custom design projects? Svoboda it won’t! Custom design projects typically utilize transistor-level design. C-to-Silicon is made to work within a standard ASIC design-flow.

Accelerate and improve verification
The C-to-Silicon Compiler will both accelerate and improve verification as well. The timing-approximate fast hardware models (FHMs) run 80-90 percent the speed of untimed C-models (or two-three orders of magnitude faster than RTL). This enables the hardware-software co-verification with greater timing accuracy.

The next question is: can people use third-party synthesis tools, along with the proprietary Cadence systhesis tool? Svoboda says that the C-to-Silicon Compiler outputs IEEE-standard Verilog RTL. Therefore, the output can go to any third-party synthesis tool. However, as the RTL output is generated using timing estimates from Cadence RTL Compiler, designers will get the best quality of results when using RTL Compiler for logic synthesis.

Will C-to-Silicon Compiler better predict performance and power? And if yes, has this cracked the low-power design issue? Svoboda adds that because of embedded logic synthesis, the C-to-Silicon Compiler can predict performance and (in principle) power better than other high-level synthesis tools.

He says: “Power estimation/optimization are key feature sets planned for upcoming releases of C-to-Silicon Compiler. We believe that those capabilities will enable the designers to create designs that are much better optimized for power, since design decisions with greatest power impact are made at the system-level.”

Finally, how does C-to-Silicon compiler handle hardware allocation and scheduling operations? The answer is, C-to-Silicon Compiler handles hardware allocation and scheduling using various proprietary algorithms and heuristics. Many of these are based on previous research at Cadence Berkeley Labs.

Svoboda notes: “One should note that the better quality of results/performance of C-to-Silicon is due primarily to its inherent ability to generate more accurate timing-estimates than other HLS tools. The higher accuracy timing estimates result from the embedding of logic synthesis within the HLS tool/process, which enables gathering of full-context gate-level information to derive the timing estimates.

“Other HLS approaches rely on pre-characterization of technology libraries, which is not accurate enough, because those gate level estimates are only nominal values, and do not take into account the full-context of the design (fan-in, fan-out, buffers, etc.)”

Lastly, what happens to ESL (electronic system-level) tools? He believes that this tool will help the ESL market.

Svoboda says: “We now have a methodology to do design creation in C++ and SystemC. For example, they do virtual prototyping, hardware-software co-design, etc. In the past, when engineers created designs, they had to re-design in C++, etc. Our tool creates the RTL automatically for them. So, this could re-energize the ESL market very well.”

It will be interesting to see what the other EDA firms such as Synopsys and Magma have in store!